OBUF_F.v
// $Header: /mnt/cvs/hdlmaker_lib/xc4000/v/OBUF_F.v,v 1.1.1.1 2000/10/04 19:59:16 bjrosen Exp $
/*
FUNCTION : OUTPUT BUFFER
*/
`timescale 100 ps / 10 ps
`celldefine
module OBUF_F (O, I);
parameter cds_action = "ignore";
output O;
input I;
tri0 GTS = glbl.GTS;
bufif0 B1 (O, I, GTS);
specify
(I *> O) = (1, 1);
endspecify
endmodule
`endcelldefine
HDLMaker Generated Files
OBUF_F.job |
Synopsys script file |